Verilog إلى VHDL محول

Verilog إلى VHDL Converter
التحميل الان

Verilog إلى VHDL محول الترتيب والملخص

الإعلانات

  • Rating:
  • رخصة:
  • GPL
  • اسم الناشر:
  • Kanai Lal Ghosh
  • أنظمة التشغيل:
  • Windows All
  • حجم الملف:
  • 22.3 MB

Verilog إلى VHDL محول العلامات


Verilog إلى VHDL محول وصف

يمكنك بسهولة معرفة ما يفعله Verilog إلى VHDL Converter من خلال قراءة اسمه. إنه تطبيق صغير يهدف إلى تزويدك وسيلة مريحة لتحويل رمز Verilog إلى لغة برمجة VHDL. كل من Verilog و VHDL هي لغات وصف الأجهزة التي تجد استخدامها العملي في الأنظمة الإلكترونية ونمذجة الدوائر والبرمجة. Verilog to VHDL Converter هو مترجم HDL يمكن أن يكون مفيدا لأي مصممي الالكترونيات، مما يسمح للترجمة الدقيقة لتصاميم Verilog وفقا لمعايير متوافقة مع VHDL. تفضل البعض منكم بالتأكيد التحويل اليدوي لاستخدام أداة مخصصة ويمكنك فقط أن يكون لديك نقطة هناك، نظرا لأن VHDL الذي تم إنشاؤه قد لا يعمل بشكل صحيح، يتطلب تصحيحات يدوية إضافية تهدف إلى ضمان توافق نوع البيانات. تم تصميم التطبيق في Java وهو قادر على معالجة ملفات Verilog متعددة مرة واحدة. إذا كانت ملفات الإدخال في ترتيب عشوائي، فيجب عليك استخدام وظيفة "فرز" قبل المتابعة. هناك أوامر لإنشاء قوائم الاستبعاد، وإنشاء كيان واحد أو مكون واحد حصريا (تلك المقابلة أعلى أو وحدة نمطية محددة). لا يمكن تشغيل Verilog إلى محول VHDL فقط باستخدام وحدة تحكم الأوامر، ولكن لا ينبغي أن يكون هذا إزعاجا لأولئك الذين يعملون مع لغات مثل Verilog و VHDL، ذوي الخبرة الكافية للتعامل مع بناء الجملة البسيط. تأتي الحزمة مع مثال لإظهار كيفية إجراء التحويل بالفعل. يمر ملف Verilog الإدخال عبر عملية معالجة مسبقا (يتم حفظ ملف RTL المقابل محليا) ثم يتبع التطبيق على تحليله. Verilog إلى VHDL Converter مفيد بشكل خاص للمصممين الذين يعملون في بيئة يمكنهم التعامل مع اللغتين. بدلا من ذلك، يمكن دمجه في التطبيقات المتوافقة فقط مع VHDL. المراجعة بواسطة ميهيلا سيتي، آخر تحديث في 4 يناير 2014


Verilog إلى VHDL محول برامج ذات صلة

buildron.

مشعارات التكامل المستمر المستمر 3D الذي يمكنك استخدامه ...

148 10.6 MB

تحميل

جانتا

ترجمة جزء من مشروع Java الخاص بك باستخدام هذه الأداة. ...

131 258 KB

تحميل