myhdl.

python ككلدا وصف الأجهزة
التحميل الان

myhdl. الترتيب والملخص

الإعلانات

  • Rating:
  • رخصة:
  • LGPL
  • السعر:
  • FREE
  • اسم الناشر:
  • Jan Decaluwe
  • موقع ويب الناشر:
  • http://jandecaluwe.com/

myhdl. العلامات


myhdl. وصف

بيثون ككلية وصف الأجهزة MyHDL هي حزمة بيثون مفتوحة المصدر التي تتيح لك الذهاب من بيثون إلى السيليكون. يمكن أن تساعدك في استخدام Python كوصف وأجهزة التحقق من الأجهزة ولغة التحقق. يمكنك تحويل رمز MYHDL الموجه نحو التنفيذ إلى Verilog و VHDL تلقائيا، وتأخذها إلى تطبيق سيليكون من هناك. ModelingPython للنمذجة عالية المستوى. تشتهر بيثون بتمكين الحلول الأنيقة لمشاكل النمذجة المعقدة. علاوة على ذلك، فإن بيثون رائع لتطوير التطبيق السريع والتجريب. الفكرة الرئيسية وراء MYHDL هي استخدام مولدات Python لنموذج التزامن الأجهزة. من الأفضل وصف المولدات على أنها وظائف مقومة يمكنها. يشبه مولدات MyHDL دائما كتل في Verilog والعمليات في وحدة الأجهزة VHDL.A على غرار الوظيفة التي ترجع المولدات. هذا النهج يجعله مباشرا لدعم ميزات مثل التسلسل الهرمي التعسفي، وهي جمعية المنفذ المسماة، ومصفوفات الحالات، ومفانيا الشرطية. علاوة على ذلك، يوفر MyHDL فصول تنفذ مفاهيم وصف الأجهزة التقليدية. يوفر فئة إشارة لدعم التواصل بين المولدات، فئة لدعم العمليات الموجهة قليلا، وفئة لأنواع التعداد. التمرد والتحقق من محاكاة المدمج في الجزء العلوي من مترجم ثعبان. وهو يدعم عرض الشكل الموجي عن طريق تتبع تغييرات الإشارة في ملف VCD.With Myhdl، يمكن استخدام إطار اختبار وحدة Python على تصاميم الأجهزة. على الرغم من أن اختبار الوحدة هو تقنية تحقق برامج حديثة شهيرة، إلا أنها لا تزال غير شائعة في تصميم الأجهزة World.myhdl يمكن أيضا استخدام لغة التحقق من الأجهزة لتصميمات Verilog، من خلال المحاكاة المشتركة مع Simulators HDL التقليدية.Conversion إلى Verilog و VHDLSubject إلى القيود، يمكن تحويل تصاميم MyHDL إلى Verilog أو VHDL. هذا يوفر طريقا في تدفق التصميم التقليدي، بما في ذلك التوليف والتنفيذ. ومع ذلك، فإن المجموعة الفرعية للتحويل أوسع بكثير من المجموعة الفرعية التوليف القياسية، وتشمل الميزات التي يمكن استخدامها لمقاعد النمذجة والاختبار عالية المستوى. يعمل المحول على تصميم مثيل تم وضعه بالكامل. وبالتالي، يمكن أن يكون هيكل التصميم الأصلي معقدا بشكل تعسفي. علاوة على ذلك، تنطبق قيود التحويل فقط على التعليمات البرمجية داخل المولدات. مولدات خارجية، يمكن استخدام الطاقة الكاملة في بيثون دون المساس بالتحويل .Finally، يقوم المحول بأتمتة عدد من المهام التي تعد صعبة في Verilog أو VHDL مباشرة. ميزة ملحوظة هي التعامل الآلي للقضايا الحسابية الموقعة. متطلبات: بيثون


myhdl. برامج ذات صلة